Skip to main content

Papers

iEDAAbout 3 min

The EDA Conferencesopen in new window

Conference

  1. Zhisheng Zeng; Jikang Liu; Zhipeng Huang; Ye Cai; Biwei Xie; Yungang Bao; Xingquan Li*, Net Resource Allocation: A Desirable Initial Routing Step, in Proceedings of Design Automation Conference (DAC), San Francisco CA USA, 2024. (CCF-A)

  2. Weiguo Li; Zhipeng Huang; Bei Yu; Wenxing Zhu; Xingquan Li*, Toward Controllable Hierarchical Clock Tree Synthesis with Skew-Latency-Load Tree,in Proceedings of Design Automation Conference (DAC), San Francisco CA USA, 2024. (CCF-A)

  3. Ye Cai, Zonglin Yang, Liwei Ni, Junfeng Liu, Biwei Xie, and Xingquan Li*, Parallel AIG Refactoring via Conflict Breaking, in Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, 2024. (CCF-C)

  4. He Liu, Simin Tao, Zhipeng Huang, Biwei Xie, Xingquan Li* and Ge Li, Instance-level Timing Learning and Prediction at Placement using Res-UNet Network, in Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, 2024. (CCF-C)

  5. Ye Cai, Yuyao Liang, Zhipeng Luo, Biwei Xie, Xingquan Li*, PCT-Cap: Point Cloud Transformer for Accurate 3D Capacitance Extraction, in proceedings of IEEE International Symposium of EDA (ISEDA), 2024.

  6. Ye Cai, Zonglin Yang, Liwei Ni, Biwei Xie, Xingquan Li*, Enhancing ASIC Technology Mapping via Parallel Supergate Computing, in proceedings of IEEE International Symposium of EDA (ISEDA), 2024.

  7. Zhipeng Huang, Zengrong Huang, Simin Tao, Shijian Chen, Zhisheng Zeng, Liwei Ni, Chunan Zhuang, Weiguo Li, Xueyan Zhao, He Liu, Biwei Xie, and Xingquan Li*, AiEDA: An Open-source AI-native EDA Library, in proceedings of IEEE International Symposium of EDA (ISEDA), 2024.

  8. Xingquan Li, Simin Tao, Shijian Chen, Zhisheng Zeng, Zhipeng Huang, Hongxi Wu, Weiguo Li, Zengrong Huang, Liwei Ni, Xueyan Zhao, He Liu, Shuaiying Long, Ruizhi Liu, Xiaoze Lin, Bo Yang, Fuxing Huang, Zonglin Yang, Yihang Qiu, Zheqing Shao, Jikang Liu, Yuyao Liang, Biwei Xie, Yungang Bao and Bei Yu*, iPD: An Open-source intelligent Physical Design Tool Chain (invited)open in new window, in Proceedings of the 28th Asia and South Pacific Design Automation Conference (ASP-DAC), 2024. (CCF-C)

  9. Xingquan Li, Zengrong Huang, Simin Tao, Zhipeng Huang, Chunan Zhuang, Hao Wang, Yifan Li, Yihang Qiu, Guojie Luo, Huawei Li, Haihua Shen, Mingyu Chen, Dongbo Bu, Wenxing Zhu, Ye Cai, Xiaoming Xiong, Ying Jiang, Yi Heng, Peng Zhang, Bei Yu, Biwei Xie*, Yungang Bao*, iEDA: An Open-source infrastructure of EDA (invited), in Proceedings of the 28th Asia and South Pacific Design Automation Conference (ASP-DAC), 2024. (CCF-C)

  10. Ping Zhang, Pengju Yao, Xingquan Li, Bei Yu, and Wenxing Zhu*, “V-GR: 3D Global Routing with Via Minimization and Multi-Strategy Rip-up and Reroutingopen in new window” in Proceedings of the 28th Asia and South Pacific Design Automation Conference (ASP-DAC), 2024. (CCF-C)

  11. Liwei Ni, Zonglin Yang, Jiaxi Zhang, Junfeng Liu, Huawei Li, Biwei Xie and Xingquan Li*, “Adaptive Reconvergence-driven AIG Rewriting via Strategy Learningopen in new window”, In Proceedings of IEEE International Conference on Computer Design (ICCD), 2023. (CCF-B)

  12. Junfeng Liu, Liwei Ni, Xingquan Li, Min Zhou, Lei Chen, Xing Li, Qinghua Zhao and Shuai Ma*, “AiMap: Learning to Improve TechnologyMapping for ASICs via Delay Predictionopen in new window”, In Proceedings of IEEEInternational Conference on Computer Design (ICCD), 2023. (CCF-B)

  13. Xueyan Zhao, Shijian Chen, Yihang Qiu, Jiangkao Li, Zhipeng Huang*, BiweiXie, Xingquan Liand Yungang Bao, iPL-3D: A Novel BilevelProgramming Model for Die-to-Die Placementopen in new window,In Proceedings of IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2023. (CCF-B)

  14. Fuxing Huang, Duanxiang Liu, Xingquan Li, Bei Yu and Wenxing Zhu*, Handling Orientation and Aspect Ratio of Modules inElectrostatics-based Large Scale Fixed-Outline Floorplanningopen in new window, InProceedings of IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2023.(CCF-B)

  15. Jiaxi Zhang, Shenggen Zheng, Liwei Ni, Huawei Li, and Guojie Luo. "Rethinking NPN Classification from Face and Point Characteristics of Boolean Functions." In Proceedings of IEEE International Conference on Design, Automation and Test in Europe (DATE), 2023, pp. 1-6. (CCF-B)

  16. Xingquan Li, Simin Tao, Zengrong Huang, Shijian Chen, Zhisheng Zeng, Liwei Ni, ZhipengHuang, Chunan Zhuang, Hongxi Wu, Weiguo Li, Xueyan Zhao, He Liu, ShuaiyingLong, Wei He, Bojun Liu, Sifeng Gan, Zihao Yu, Tong Liu, Yuchi Miao, ZhiyuanYan, Hao Wang, Jie Zhao, Yifan Li, Ruizhi Liu, Xiaoze Lin, Bo Yang, Zhen Xue,Zonglin Yang, Zhenggang Wu, Jiangkao Li, Yuezuo Liu, Ming Peng, Yihang Qiu,Wenrui Wu, Zheqing Shao, Kai Mo, Jikang Liu, Yuyao Liang, Mingzhe Zhang, ZhuangMa, Xiang Cong, Daxiang Huang, Guojie Luo, Huawei Li, Haihua Shen, MingyuChen, Dongbo Bu, Wenxing Zhu, Ye Cai, Xiaoming Xiong, Ying Jiang, Yi Heng, PengZhang, Biwei Xie*, Yungang Bao*. “iEDA:An Open-Source Intelligent Physical Implementation Toolkit andLibrary”, In Proceedings of IEEE International Symposium of EDA (ISEDA), 2023. (Best Paper Award)

  17. He Liu, Shengkun Wu,Simin Tao, Biwei Xie, Xingquan Li*,and Ge Li. “Accurate Timing Path Delay Learning using Feature Enhancer withEffective Capacitance”, In Proceedings of IEEEInternational Symposium of EDA (ISEDA), 2023.

  18. Liwei Ni, Zonglin Yang,Jiaxi Zhang, Changhong Feng, Jianhua Liu, Guojie Luo, Huawei Li, Biwei Xie and Xingquan Li*. “MEC: An Open-source Fine-grained Mapping Equivalence Checking Tool for FPGA”, In Proceedings of IEEE International Symposium of EDA (ISEDA), 2023.

  19. Jie Zhao, Biwei Xie and Xingquan Li*. “Weight Uncertainty in Transformer Network for the Traveling Salesman Problem”, In Proceedings of IEEE International Symposium of EDA (ISEDA), 2023.

  20. Shengkun Wu, Biwei Xie, Xingquan Li*,An Adaptive Partition Strategy of Galerkin Boundary Element Method for Capacitance Extraction,In Proceedings of the 28th Asia and SouthPacific Design Automation Conference (ASP-DAC), 2023. (CCF-C)

  21. Zhiyuan Yan, Biwei Xie, Xingquan Li, Yugang Bao*, Exploiting Architecture Advances ForSparse Solvers In Circuit Simulation, In Proceedings of the IEEE/ACM Design, Automation and Testin Europe Conference (DATE), 2022. (CCF-B)

  22. Jiaxi Zhang, Liwei Ni, Shenggen Zheng*, Hao Liu, Xiangfu Zou, Feng Wang, and Guojie Luo. "Enhanced fast Boolean matching based on sensitivity signatures pruning." In Proceedings of IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2021, pp. 1-9. (CCF-B)

Journal

  1. Hongxi Wu, Zzhipeng Huang, Xingquan Li, Wwenxing Zhu,"AiTO: Simultaneous gate sizing and buffer insertion for timing optimization with GNNs and RL.open in new window" Integration, the VLSI Journal, 2024. (CCF-C)