Skip to main content

iEDA Toolchain

iEDALess than 1 minute

(iEDA build-in EDA tools)

Tool List

  • Logic Synthesis
  • Physical Design

    • Netlist Optimization: iNO
    • Floorplan: iFP
    • Power Deliver Network: iPDN
    • Placement: iPL
    • Clock Tree Synthesis: iCTS
    • Timing Optimization: iTO
  • Sign-off

    • Timing Analysis: iSTA
    • Power Analysis: iPA
    • Design Rule Check: iDRC